Agfianto Eko Putra on November 7th, 2009

Oleh Agfianto Eko Putra dan Nukman Taufik
Telah dilakukan perancangan, dan implementasi sistem pengendali motor servo dalam FPGA FLEX-10K menggunakan VHDL. Sistem terbagi atas 2 macam, yang masing-masing dibatasi dengan ruang putar servo 0-180 derajat. Sistem pertama dirancang secara keseluruhan menggunakan deskripsi VHDL dengan pengontrol 2 tombol-tekan dan penampil sudutnya 3×7-segment. Sistem kedua merupakan kombinasi antara [...]

Continue reading about Implementasi Kendali Motor Servo Menggunakan FPGA dengan Deskripsi VHDL