Categories
FPGA

Implementasi Kendali Motor Servo Menggunakan FPGA dengan Deskripsi VHDL

Oleh Agfianto Eko Putra dan Nukman Taufik

Telah dilakukan perancangan, dan implementasi sistem pengendali motor servo dalam FPGA FLEX-10K menggunakan VHDL. Sistem terbagi atas 2 macam, yang masing-masing dibatasi dengan ruang putar servo 0-180 derajat. Sistem pertama dirancang secara keseluruhan menggunakan deskripsi VHDL dengan pengontrol 2 tombol-tekan dan penampil sudutnya 3×7-segment. Sistem kedua merupakan kombinasi antara modul driver yang ditulis dalam deskripsi VHDL, dan bagian antarmuka yang dituliskan dalam Delphi 7.0. Sumber daya yang dibutuhkan pada sistem pengendali yang pertama adalah 277 elemen logika dan 63 flip-flop dengan waktu rambat sebesar 12.5 nd. Sedangkan yang kedua membutuhkan 140 elemen logika, dan 33 flip-flop dengan waktu rambatnya 12.4 nd.

Silahkan unduh PDFnya disini.