Categories
FPGA

Implementation of the Montgomery Modular based RSA Algorithm on FPGA

by Kurniasari, E., Putra, A.E., and Agoestien, N.G.

Lifting and modulus operations are the main operations on the RSA algorithm which require long computation time. Implementation of the operation of the lift and modulus on hardware devices requiring more resources than other arithmetic operations. Montgomery modular multiplication, the method which can be used to simplify the operation of lift and modulus, is implemented on FPGA, to speed up the computing process. The implementation results in this study, which is done using VHDL on the Xilinx Artix 7 series FPGA, were able to work at a maximum frequency of 133.76 MHz, requiring 17.66% LUT (11,195 of 63,400) and 7.14% of IOBs (15 of 210).

(DOI: 10.1109/ICST47872.2019.9166353)

Categories
FPGA

Sistem Pengaturan dan Pemantauan Kecepatan Putar Motor DC berbasis FPGA dan VHDL

Abstrak – Telah diimplementasikan sebuah rangkaian digital untuk pengaturan dan pemantauan kecepatan putar motor DC ke dalam FPGA Altera EPF10K10 menggunakan VHSIC Hardware Description Language (VHDL). Sistem terdiri dari rangkaian penggerak dan rangkaian pembaca kecepatan putar motor DC. Sistem ini dapat menggerakkan motor DC dengan 10 variasi kecepatan dan besarnya kecepatan putar tersebut ditampilkan melalui 7-Segmen. FPGA menghasilkan luaran Pulse Width Modulation (PWM) untuk menggerakkan motor DC. Besarnya kecepatan putar motor DC dibaca oleh sensor optokopler kemudian diteruskan ke FPGA untuk ditampilkan nilainya melalui 7-segmen dalam satuan Rotasi per Detik (RPD). Hasil pengujian luaran PWM pada FPGA menggunakan metode regresi linier, diperoleh ralat sebesar 0,04. Hasil pengujian kecepatan putar motor DC untuk arah putaran searah jarum jam yaitu dengan ralat sebesar 0,70. Sedangkan untuk yang berlawanan jarum jam diperoleh ralat sebesar 0,75. Jumlah LE dalam FPGA yang digunakan adalah 262 atau 45% dari kapasitas total LE yang dimiki FPGA Altera EPF10K10.

Disampaikan pada SITIA 2013 di Surabaya, unduh artikel disini (PDF), semoga bermanfaat.

Categories
FPGA

Implementasi FFT (Fast Fourier Transform) 16-Titik pada FPGA ALTERA Keluarga FLEX-10K menggunakan VHDL

Oleh Agfianto Eko Putra dan Eka Budi Santosa.

Telah dilakukan implementasi FFT 16-titik berbasis FPGA Altera keluarga FLEX-10K menggunakan deskripsi VHDL . Implementasi FFT ini didasarkan pada algoritma Radix-2 Decimation-In-Frequency. Implementasi dilakukan pada 2 (dua) macam FPGA Altera Keluarga FLEX-10K, yaitu EPF10K20TC144-3 (FLEX-10K) dan EPF10K30ETC144-1 (FLEX-10KE). Analisis pewaktuan, untuk FPGA tipe EPF10K20TC144-3, menunjukkan bahwa sistem mampu diberikan detak (clock) hingga 26,73 MHz dan melakukan proses FFT 16-titik dalam waktu 16,75 mikrodetik. Sedangkan tipe EPF10K30ETC144-1, maksimum frekuensi detak-nya adalah 60,97MHz dan melakukan proses yang sama dalam waktu 7,35 mikrodetik.

Selengkapnya bisa diunduh di sini

Categories
FPGA

Habibie Award untuk Pakar Chipset Indonesia

JAKARTA, KOMPAS.com – Badan Pengkajian dan Penerapan Teknologi (BPPT) menganugerahi BJ Habibie Technology Award 2010 kepada Dr Eko Fajar Nurprasetyo, pendiri industri desain chipset pertama di Indonesia.

Dr Eko Fajar Nurprasetyo (paling kiri)

“Kami memandang sangat perlu memberi penghargaan kepada para pelaku teknologi di seluruh tanah air dalam rangka meningkatkan daya saing dan kemajuan bangsa,” kata Kepala BPPT Dr Marzan Azis Iskandar saat memberi sambutan pada BJ Habibie Technology Award 2010 di BPPT Jakarta, Selasa (28/9/2010) malam.

Pada Penganugerahan Award yang telah diselenggarakan untuk ketiga kalinya sejak 2008 itu, hadir dalam penganugerahan tersebut mantan Presiden RI dan Menristek BJ Habibie, serta Menristek Suharna Surapranata.

Eko yang sebelumnya telah berjaya di sebuah perusahaan semi konduktor Sony LSI di Jepang pulang ke tanah air dan memulai usahanya di bawah logo Versatile Silicon Technology, perusahaan desain IC pertama di Indonesia bersama beberapa temannya dari ITB. Pada 2008 ia bergabung dengan Xirka perusahaan nasional dan satu-satunya di Asia Tenggara yang mendesain chip untuk Wimax.

Ia menyatakan yakin bahwa 5-10 tahun lagi Indonesia akan mampu memproduksi chipset sendiri secara massal di Indonesia. “Untuk saat ini kami masih memproduksinya di Jepang, dan dipasarkan di Jepang dan beberapa negara lain,” katanya.

Eko mengatakan, satu pabrik chip membutuhkan investasi sampai Rp 10 triliun, karena itu membangun pabrik chip di Indonesia masih memiliki hambatan besar. Ia mengatakan, harga produksi chipset sangat rendah tapi bisa dijual sangat mahal, karena itu jika Indonesia bisa memproduksi sendiri kebutuhan dalam negerinya, maka akan banyak devisa yang bisa dihemat.

Namun demikian untuk diproduksi massal, ujarnya, baru akan untung jika produksi sudah mencapai di atas 500 ribu unit. Eko yang memperoleh penghargaan dalam bentuk cek Rp25 juta itu selain mendesain chip untuk wimax, juga mendesain chip untuk server, untuk signal processing, dan untuk scanner.

Maju Indonesia-ku dengan FPGA dan chipset!!

Categories
FPGA

Abstraksi dan Sintesis VHDL – VHSIC Hardware Description Language

VHDL is a language for describing digital electronic systems. It arose out of the United States Government’s Very High Speed Integrated Circuits (VHSIC) program, initiated in 1980. In the course of this program, it became clear that there was a need for a standard language for describing the structure and function of integrated circuits (ICs). Hence the VHSIC Hardware Description Language (VHDL) was developed, and subsequently adopted as a standard by the Institute of Electrical and Electronic Engineers (IEEE) in the world. – Peter J. Ashenden

Abstraksi VHDL

VHDL dapat menggambarkan berbagai tingkat abstraksi yang berbeda-beda, mulai dari fungsi-fungsi sampai ke tingkat gerbang-gerbang-nya. Abstraksi artinya menyembunyikan implementasi detail, misalnya seorang perancang dapat menyatakan perkalian dua bilangan (A = B * C) dengan beberapa cara:

  • Menggunakan operator “*” dalam VHDL, yaitu a<=b*c;
  • Merancang pengali atau multiplier pada aras gerbang (gate level);
  • Merancang pengali pada aras layout (layout level).

Contoh di atas menunjukkan bahwa suatu fungsi dapat diimplementasikan pada tiga tingkat abstraksi yang berbeda: RTL (Register Transfer Level), Logika (aras gerbang) dan Layout.

Categories
FPGA

Implementasi Kendali Motor Servo Menggunakan FPGA dengan Deskripsi VHDL

Oleh Agfianto Eko Putra dan Nukman Taufik

Telah dilakukan perancangan, dan implementasi sistem pengendali motor servo dalam FPGA FLEX-10K menggunakan VHDL. Sistem terbagi atas 2 macam, yang masing-masing dibatasi dengan ruang putar servo 0-180 derajat. Sistem pertama dirancang secara keseluruhan menggunakan deskripsi VHDL dengan pengontrol 2 tombol-tekan dan penampil sudutnya 3×7-segment. Sistem kedua merupakan kombinasi antara modul driver yang ditulis dalam deskripsi VHDL, dan bagian antarmuka yang dituliskan dalam Delphi 7.0. Sumber daya yang dibutuhkan pada sistem pengendali yang pertama adalah 277 elemen logika dan 63 flip-flop dengan waktu rambat sebesar 12.5 nd. Sedangkan yang kedua membutuhkan 140 elemen logika, dan 33 flip-flop dengan waktu rambatnya 12.4 nd.

Silahkan unduh PDFnya disini.

Categories
FPGA

Floating-point Unit Implementation in Altera FLEX10K FPGA using VHDL

Floating-point systems were developed to provide high resolution over a large dynamic range. Floating-point systems can often provide a solution when fixed-point systems, with their limited dynamic range, fail. Floating-point systems, however, bring a speed and complexity penalty. Most microprocessor floating-point systems comply with the published single- or double-precision IEEE floating-point standard; while in FPGA-based systems often employ custom formats. In this research, a 16-bit floating-point unit, which has addition, subtraction, multiplication and division operator, and based on floating-point system, has been implemented in Altera FLEX10K FPGA using VHDL (VHSIC Hardware Description Language). The design used structural and behavior model implementation to know which the best design is. The best results are structural model using ROM which require 438 logic elements with 7.99 MFLOPS (million floating-point operations per second), and the behavior model using ROM which require 526 logic elements with 7.51 MFLOPS. The floating-point unit has been designed for normal floating-point operation, thus it can not detect overflow or underflow conditions.

Download full paper here (PDF) – The 1st International Seminar on Sciences and Technology (ISSTEC) 2009.

Categories
FPGA

Purwarupa Mikroprosesor berbasis FPGA ALTERA EPF10K10 dengan Deskripsi VHDL

ABSTRACT

It has been designed and implemented an FPGA-based microprocessor prototype using Altera EPF10K10 and VHDL description then compiled and simulate using MAX+Plus II software. The microprocessor prototype is implementing using the Wizard A-01 development board and its assembly program stored in ROM. To decode and execute the instruction, it used Control Unit, which will send control signal to other components. The 16 instructions is implementing in this microprocessor prototype. This microprocessor prototype has 8-bit data bus and 4-bit address bus, implemented using 375 logic cells, operating at 14.72 MHz clock (maximum) and 3.68 MIPS.

PENDAHULUAN

Mikroprosesor telah berkembang menjadi bagian penting dalam dunia elektronika. Untuk menggunakan mikroprosesor, pengguna tinggal menyusun suatu program dengan memanfaatkan instruksi mesin (assembly) yang dimiliki oleh mikroprosesor tersebut. Adanya komponen register serta Arithmetic and Logic Unit (ALU) memungkinkan mikroprosesor dapat menjalankan berbagai macam komputasi, mulai dari komputasi sederhana hingga yang kompleks.

Menurut Turley (2002) Kendala yang dihadapi dalam perancangan mikroprosesor adalah mahal dan lamanya fabrikasi. Untuk mengatasi kendala waktu dan biaya fabrikasi, mikroprosesor dapat diimplementasikan dalam Field Programmable Array (FPGA). FPGA merupakan piranti yang bersifat dapat dikonfigurasi-ulang (reconfigurable). FPGA memiliki komponen kombinasional dan sekuensial dalam tiap sel logik-nya, sehingga memungkinkan FPGA dapat digunakan untuk implementasi rangkaian kombinasional dan rangkaian sekuensial. Dengan teknologi FPGA, implementasi rancangan sistem digital dapat dilakukan secara cepat (Maxfield, 2004).

Tujuan penelitian ini adalah merancang dan mengimplementasikan sebuah mikroprosesor sederhana (purwarupa mikroprosesor) dalam FPGA menggunakan deskripsi VHDL (VHSIC Hardware Description Language).

(informasi selengkapnya bisa diunduh disini)

Categories
FPGA

Implementasi Prototipe Sistem Kontrol Elevator Berbasis FPGA Menggunakan VHDL

Pendahuluan

Pada perkembangan saat ini elevator memiliki sistem kontrol yang canggih. Fasilitas yang ada semakin kompleks dan sistem kontrolnya menjadi semakin rumit. Elevator saat ini sudah dilengkapi sistem kontrol yang menggunakan PLC, mikrokontroler maupun mikroprosesor. Sedangkan FPGA merupakan media alternatif yang dapat digunakan implementasi sistem kontrol elevator tersebut.

Dalam penelitian ini dibuat prototipe rangkaian sistem kontrol elevator sederhana, yang rancangannya dibuat dengan deskripsi VHDL dan diimplementasikan pada FPGA Altera keluarga FLEX-10K seri EPF10K10. Perangkat lunak yang digunakan adalah MAXplus+II. Sistem kontrol elevator ini digunakan untuk mengendalikan elevator 12 lantai.

Perancangan Sistem Kontrol Elevator

Sistem kontrol elevator yang dibuat dapat mengendalikan 12 lantai. Masukan kontrol berupa sinyal yang mewakili posisi tiap lantai dan sinyal keluaran berupa lantai tujuan. Sistem kontrol bekerja sebagai berikut: elevator akan bergerak pada satu arah selama masih ada permintaan lantai pada arah yang sama dan jika tidak ada permintaan lantai pada arah yang sama, elevator akan berhenti dan menjadi idle, atau berubah arah jika ada permintaan lantai dengan arah yang berlawanan.

Secara umum arsitektur VHDL dari sistem kontrol elevator mengarah pada penggunaan sistem memori. Masukan dari luar sistem akan diidentifikasikan sebagai masukan yang mengisi alamat memori dengan sinyal bit satu dan kemudian akan diakses oleh sistem kontrol. Untuk memudahkan perancangan sistem kontrol dibagi dalam beberapa blok, sebagaimana ditunjukkan pada Gambar 2, yang komunikasi antar blok-nya digunakan beberapa sinyal.

(informasi selengkapnya bisa diunduh disini)

Categories
FPGA

Prototipe Pengatur Lampu Lalu-lintas berbasis FPGA ALTERA EPF10K10 Menggunakan VHDL

Abstrak

Telah dibuat sebuah Pengatur Lampu Lalu Lintas berbasis FPGA dengan menggunakan kode VHDL. Sistem pengatur lalu lintas ini terbagi menjadi dua mekanisme, yaitu kontrol otomatis dan kontrol manual, yang masing-masing terdiri dari beberapa modul yang diimplementasikan dengan VHDL. Pengatur lampu lalu lintas ini dapat mengatur waktu interval nyala lampu sehingga tidak stagnan, melainkan adaptif, baik itu berdasarkan waktu jam digital (kontrol otomatis), maupun berdasarkan pengaturan yang didefinisikan oleh pengguna (kontrol manual). Alat ini dapat bekerja dipersimpangan dengan jumlah n-jalur, misalnya perempatan, pertigaan, dan sebagainya. Hal ini dikarenakan modul terbagi menjadi modul lalu lintas inti dan modul lalu lintas unit. Rancangan alat memakai Embedded Array Block (EAB) dalam FPGA Altera EPF10K10 sebagai memori dengan penggunaan Memory Bits sebesar 96 dan Memory Utilized 1%, hasilnya menggunakan perancangan berbasis VHDL membutuhkan 327 Logic Elements atau 56% dari kapasitas total logic Element (LE) di dalam FPGA Altera EPF10K10.

PENDAHULUAN

Semakin meningkatnya jumlah kendaraan bermotor di indonesia dalam beberapa tahun terakhir ini mengakibatkan meningkatnya arus lalu lintas. Alat pengatur lalu lintas yang umum digunakan adalah pengatur lampu lalu lintas (traffic light). Fungsi pengatur lampu lalu lintas adalah untuk pengaturan, pengarahan atau peringatan pada pengendara maupun pejalan kaki dengan memakai tanda lampu lalu lintas sebagai petunjuk berhenti atau berjalan. Penempatan lampu lalu lintas pada persimpangan jalan ditujukan agar kemacetan yang umumnya banyak terjadi pada persimpangan jalan yang merupakan tempat bertemunya beberapa arus lalu lintas dapat dikurangi. Selain penempatan lampu lalu lintas yang tepat, pengaturan waktu siklus (cycle time) juga mutlak diperlukan karena pengaturan waktu siklus yang kurang tepat akan menyebabkan ketertundaan yang tinggi dan antrian yang panjang sehingga menimbulkan rasa ketidaknyamanan bagi para pemakai jalan. Hal ini banyak terlihat di beberapa persimpangan daerah ibukota yang padat, banyak pengaturan fase dan waktu siklus yang sudah tidak sesuai dengan kondisi persimpangan, namun masih saja dipakai sehingga kemacetan akibat waktu tundaan yang tinggi dan antrian panjang pun tidak terelakkan, diikuti oleh pelanggaranpelanggaran lalu lintas.

Pelanggaran terhadap lampu lalu lintas tidak hanya terjadi pada jam-jam puncak kesibukan, pada jam-jam saat arus lalu lintas sepi juga sering terjadi pelanggaran lalu lintas yaitu kecenderungan para pengguna jalan untuk tidak mematuhi lampu lalu lintas karena waktu siklus yang terlalu lama dan volume kendaraan pada persimpangan sangat kecil. Untuk itu perlu dikembangkan pengatur lampu lalu lintas yang memiliki waktu siklus dan fase yang dapat diatur dari waktu ke waktu selama 24 jam. Sehingga jumlah tundaan yang tinggi, antrian yang panjang dan jumlah pelanggaran lalu lintas yang tinggi dapat dikurangi seminimal mungkin. Pengembangan pengatur lampu lalu lintas ini amat penting, karena hasilnya dapat mempengaruhi kehidupan sehari-hari masyarakat. Efisiensi sistem juga merupakan hal yang penting untuk setiap kota besar.

Untuk itu, maka dirancang sebuah alat pengatur lampu lalu lintas berbasis FPGA Altera EPF10K10 menggunakan VHDL. FPGA atau Field Programmable Gate Array adalah rangkaian digital terintegrasi yang terdiri dari blok logika yang dapat dikonfigurasi dan dapat diprogram, serta blok interkoneksi yang dapat dikonfigurasi diantara blok-blok ini. Papan pengembangan FPGA yang digunakan dalam perancangan adalah Wizard FLEX-A01 Experiment Board, yang merupakan papan pengembang FPGA berbasis RAM tipe EPF10K10LC84 (Keluarga Flex 10K) dari ALTERA dengan kapasitas 10.000 gerbang (gates) logika dan 576 logic element serta 6.144 bit RAM dengan Konektor JTAG untuk koneksiByteblaster.

Perancangan ini dilakukan dengan menggunakan kode VHDL atau VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. VHDL adalah sebuah Hardware Description Language (HDL) yang mampu mendeskripsikan sifat atau watak rangkaian atau sistem digital dan merupakan HDL pertama yang mendapat standarisasi dari Institute of Electrical and Electronic Engineers (IEEE) melalui IEEE 1076 dan sebagai tambahan standar, IEEE 1164.

(informasi selengkapnya bisa diunduh disini)