Oleh Agfianto Eko Putra dan Nukman Taufik

Telah dilakukan perancangan, dan implementasi sistem pengendali motor servo dalam FPGA FLEX-10K menggunakan VHDL. Sistem terbagi atas 2 macam, yang masing-masing dibatasi dengan ruang putar servo 0-180 derajat. Sistem pertama dirancang secara keseluruhan menggunakan deskripsi VHDL dengan pengontrol 2 tombol-tekan dan penampil sudutnya 3×7-segment. Sistem kedua merupakan kombinasi antara modul driver yang ditulis dalam deskripsi VHDL, dan bagian antarmuka yang dituliskan dalam Delphi 7.0. Sumber daya yang dibutuhkan pada sistem pengendali yang pertama adalah 277 elemen logika dan 63 flip-flop dengan waktu rambat sebesar 12.5 nd. Sedangkan yang kedua membutuhkan 140 elemen logika, dan 33 flip-flop dengan waktu rambatnya 12.4 nd.

Silahkan unduh PDFnya disini.

Tags: , , ,

10 Responses to “Implementasi Kendali Motor Servo Menggunakan FPGA dengan Deskripsi VHDL”

  1. oke juga tuh mas, boleh minta referensi nggak mas ttg koneksi rf-id ke gpga atau mikrokontroller ke fpga
    regards

  2. Pak kami memiliki labview, disana ada contoh suatu deteksi puncak sinyal menggunakan wavelet. Apa aja langkah pengerjann wavelet itu Pak.

  3. mas bisa bagi deskripsi VHDL ?
    game tembak ikan

  4. Terima kasih sudah membagikan Deskripsi VHDL.

  5. Makasih info nya pak.

  6. izin mendownload pak

  7. izin saya jadikan refrensi ya pak

  8. thank you for sharing it’s so helpful

  9. thanks for sharing

  10. Majority of businesses have shifted to mass text messaging to communicate with different audiences. This is mainly due to the fact that text messaging has the highest open and response rate when compared to emails, calls, social media and instant messaging apps.

Leave a Reply

You can use these tags: <a href="" title=""> <abbr title=""> <acronym title=""> <b> <blockquote cite=""> <cite> <code> <del datetime=""> <em> <i> <q cite=""> <strike> <strong>